Wherever Innovation Happens,

Nova is Redefining the Limits

AR/VR
Nova is a leading innovator and key provider of metrology solutions for advanced process control in the semiconductor industry. Leveraging insight to generate foresight, we partner with our customers to provide precision and clarity in the most complex challenges.
Wherever Innovation Happens,

Nova is Redefining the Limits

Robotics
Nova is a leading innovator and key provider of metrology solutions for advanced process control in the semiconductor industry. Leveraging insight to generate foresight, we partner with our customers to provide precision and clarity in the most complex challenges.
Wherever Innovation Happens,

Nova is Redefining the Limits

Smart Grid
Nova is a leading innovator and key provider of metrology solutions for advanced process control in the semiconductor industry. Leveraging insight to generate foresight, we partner with our customers to provide precision and clarity in the most complex challenges.
Wherever Innovation Happens,

Nova is Redefining the Limits

Automotive
Nova is a leading innovator and key provider of metrology solutions for advanced process control in the semiconductor industry. Leveraging insight to generate foresight, we partner with our customers to provide precision and clarity in the most complex challenges.
Wherever Innovation Happens,

Nova is Redefining the Limits

Cloud Services
Nova is a leading innovator and key provider of metrology solutions for advanced process control in the semiconductor industry. Leveraging insight to generate foresight, we partner with our customers to provide precision and clarity in the most complex challenges.

Our Vision

We measure ourselves by our ability to transcend expectations and boundaries. We partner with our customers to craft innovative process control solutions enhanced with unique value.

The Insight to Transform- The Foresight to Predict

Dimensional Metrology

Nova’s advanced multidisciplinary dimensional metrology technologies combine complex opto-mechanical hardware with advanced optics and cutting-edge algorithms to continuously innovate for effective process control throughout the semiconductor fabrication life cycle
01

Materials Metrology

Nova’s highly sensitive metrology and advanced process control technologies provide unique, scalable solutions to address the semiconductor industry’s materials metrology challenges.
02

Chemical Metrology

Nova leverages a wide range of advanced chemical metrology analysis techniques designed to measure and analyze multiple metals and compounds of increasing complexity.
03

Modeling Software

Nova’s industry-leading software solutions deliver breakthrough performance with uncompromising accuracy and productivity, for the most complex challenges in 3D structures. Our cutting-edge modeling and fleet technology solutions feature the most comprehensive algorithmic, big data, and computational infrastructure suite, combining physical and AI-adjacent capabilities.
04
Dimensional Metrology
Materials Metrology
Chemical Metrology
Modeling Software
Dimensional Metrology
Nova’s advanced multidisciplinary dimensional metrology technologies combine complex opto-mechanical hardware with advanced optics and cutting-edge algorithms to continuously innovate for effective process control throughout the semiconductor fabrication life cycle
Technologies
Materials Metrology
Nova’s highly sensitive metrology and advanced process control technologies provide unique, scalable solutions to address the semiconductor industry’s materials metrology challenges.
Technologies
Chemical Metrology
Nova leverages a wide range of advanced chemical metrology analysis techniques designed to measure and analyze multiple metals and compounds of increasing complexity.
Technologies
Modeling Software
Nova’s industry-leading software solutions deliver breakthrough performance with uncompromising accuracy and productivity, for the most complex challenges in 3D structures. Our cutting-edge modeling and fleet technology solutions feature the most comprehensive algorithmic, big data, and computational infrastructure suite, combining physical and AI-adjacent capabilities.
Technologies

Complex Challenges- Clear Cut Solutions

Dimensional Metrology

Nova offers inline optical integrated and standalone metrology platforms. Our metrology product portfolio combined with proprietary modeling algorithms and machine learning software deliver unique measurement capabilities for the most advanced semiconductor technology nodes.

Materials Metrology

Nova is a market leader for innovative thin film metrology and process control technologies. We develop highly sensitive in-line materials metrology solutions on high productivity platforms, thereby enabling critical metrology solutions to be closer to a semiconductor fab’s process and integration needs.

Chemical Metrology

Nova offers a market-leading portfolio of advanced, open and flexible chemical metrology platforms for backend wafer-level packaging and front-end dual-damascene process steps. Our portfolio helps manufacturers ensure high-quality electroplating processes by carrying out chemical analysis and replenishment in real time.

Software Solutions

Nova’s software solutions combine top notch algorithms in the field of Artificial Intelligence and machine learning. Our comprehensive portfolio provides customers with a complete modeling and application development solution designed for complex 3D and HAR structures in the most advanced logic and memory technology nodes.
Nova Prism 2 Adoption Expands; Selected by a Leading Logic Manufacturer
Nova to Report Third Quarter 2024 Financial Results on November 7
Nova to Participate in Investor Conferences in August and September
Nova Reports Record Second Quarter 2024 Financial Results
Nova Prism 2 Adoption Expands; Selected by a Leading Logic Manufacturer
Nova to Report Third Quarter 2024 Financial Results on November 7
Nova to Participate in Investor Conferences in August and September
Nova Reports Record Second Quarter 2024 Financial Results
Your Time Your Time Your Time Your Time

Your Time to Shine

At Nova, you have the power to move the needle at the forefront of technology. You’ll work in good company at a leading metrology innovator, where “disruptive” is the keyword. Your personal impact makes a world of difference, and when you grow- we all grow, together.

Emerging Process Insight Trailblazers

30
Years in Operation
500
Patents
1100
Employees
5000
Installed Base

Our Blog

Advanced Logic Device Architectures - Challenges and Solutions in Materials Metrology

Today's most advanced AI processors consist of billions of . Earlier this year, NVIDIA announced on the arrival of their new Blackwell platform, to power a new era of computing. Its GPU, the world’s most powerful chip, is packed with not less than 208 billion transistors!

Women In Nova: Insights from Nova's Diverse Perspectives

Nova's Women's Month 2024 was a lively celebration of strength and presence, featuring a range of engaging activities and heartfelt gatherings. Across Nova's global territories, dynamic panel discussions and meaningful roundtable sessions provided spaces for sharing ideas and inspiring one another. On our social media platforms, we showcased the inspiring stories of our remarkable female colleagues, aiming to inspire others with their experiences and insights.

Paving the Way: How Dr. Gil Delgado is Blazing a Path for Hispanic Representation in Physics

Dr. Gil Delgado is a physicist who serves as Nova’s VP for Advanced Technology in the Materials Me...