Intel Foundry

Intel Foundry

Semiconductor Manufacturing

About us

Intel Foundry is a systems foundry dedicated to transforming the global semiconductor industry by delivering cutting-edge silicon process and packaging technology leadership for the AI era. With a focus on scalability, AI advancement, and shaping the future, we provide an unparalleled blend of an industry-leading technology, a rich IP portfolio, a world-class design ecosystem, and an operationally resilient global manufacturing supply chain. As stewards of Moore's Law, we persistently innovate and foster collaboration within an extensive partner ecosystem to advance technologies and enable our customers to design leadership products. Our strategic investments in geographically diverse manufacturing capacities bolster the resilience of the semiconductor supply chain, particularly for advanced products. Leveraging our technological prowess, expansive manufacturing scale, and a more sustainable supply chain, Intel Foundry empowers the world to deliver essential computing, server, mobile, networking, and automotive systems for the AI era.

Website
www.intel.com/foundry
Industry
Semiconductor Manufacturing
Company size
10,001 employees

Updates

  • View organization page for Intel Foundry, graphic

    36,644 followers

    This video shows the importance of advanced packaging in semiconductors. We appreciate IPC taking the time to highlight the evolution of electronics manufacturing and including Intel Foundry in this project. #Intel #IntelFoundry #Semiconductors

    View organization page for IPC, graphic

    36,435 followers

    What does “advanced packaging” mean in the context of #semiconductors and #electronics #manufacturing? And why is it so important to the future of the global economy and security? Those questions are answered in plain language in a new 90-second from @IPC. Of greatest concern, the United States and Europe have only nascent capabilities in this crucial technology, leaving them even more dependent on non-domestic suppliers than they are for semiconductor chips, as reports from IPC and several government agencies have documented. The new videos explain these concepts and include easy-to-understand animations: one illustrating what advanced packaging is, and another showing how it fits into the supply chains of crucial products as varied as military jets and smartphones. “As government leaders implement the CHIPS Act – and think about a “CHIPS Act II” -- they must prioritize investments in advanced packaging to drive innovation, national security, and resilience,” the video concludes. IPC thanks member company Intel Corporation– a global leader in advanced packaging – for providing some of the imagery used in the new videos. Watch the video here: https://hubs.li/Q02Rnh4d0 #advancedpackaging #chips #chipsandscienceact #europeanchipsact

  • View organization page for Intel Foundry, graphic

    36,644 followers

    On this Manufacturing Day, we celebrate our global team's incredible contributions, whose innovation and dedication fuel Intel Foundry's leadership in semiconductor manufacturing. From our state-of-the-art wafer fabrication facilities to the cutting-edge packaging technologies developed for chiplet integration, Intel’s engineers, technicians, and supply chain experts work tirelessly to push the boundaries of what's possible. With advanced manufacturing sites across the globe, our teams are building the future of technology, driving critical solutions that power everything from data centers to next-gen AI systems. Thank you to everyone who makes Intel’s innovations a reality—together, we are driving the future! https://intel.ly/3BxaylM #IntelFoundry #ManufacturingDay #MFGDay

    • No alternative text description for this image
  • View organization page for Intel Foundry, graphic

    36,644 followers

    At Intel Foundry, we’re solving global challenges by delivering world-class process innovation driven by the continued pursuit of Moore’s Law. As a leading-edge semiconductor company, our longstanding Arizona operations are central to this mission, with significant investments in R&D and manufacturing. In the latest Gamers Nexus documentary, watch how world-changing technology is created from the ground up at our U.S. manufacturing location: https://intel.ly/4ekkaPk #Intel #IntelFoundry

    • No alternative text description for this image
  • View organization page for Intel Foundry, graphic

    36,644 followers

    Intel Foundry is taking a new approach to semiconductor manufacturing by offering full stack solution support, security, and collaboration. We’re dedicated to helping customers make the most of our foundry capabilities and driving meaningful outcomes in today’s connected, data-driven world. Learn more about how we’re shaping the future of technology with our expertise and scale. https://intel.ly/3B7XfI7 #Intel #IntelFoundry #Semiconductors

  • View organization page for Intel Foundry, graphic

    36,644 followers

    We are excited to announce that Intel Foundry has been awarded a significant investment to expand the trusted manufacturing of leading-edge semiconductors for the U.S. government. This Secure Enclave award underscores our dedication to advancing cutting-edge technologies that enhance the resilience of U.S. technological systems. The U.S. government's investment in Intel Foundry's capacity, coupled with our continued partnership with the Department of Defense, is driving the development of cutting-edge computing solutions and restoring America's semiconductor industry leadership. Learn more: https://intel.ly/4gpI8tX #Intel #IntelFoundry #CHIPSAct

    Intel Awarded up to $3B by the Biden-Harris Administration for Secure...

    Intel Awarded up to $3B by the Biden-Harris Administration for Secure...

    intel.com

  • View organization page for Intel Foundry, graphic

    36,644 followers

    Intel is proud to announce a strategic collaboration with Amazon Web Services (AWS) that leverages our innovative technologies to accelerate the next wave of transformative solutions. Intel will produce an AI fabric chip on Intel 18A and a custom Xeon 6 chip on Intel 3 for AWS. This reflects Intel and AWS’s commitment to accelerating the performance of AI applications. Learn more: https://intel.ly/47uy26M #Intel #IntelFoundry #AI

    Intel, AWS Expand Strategic Collaboration, Helping Advance U.S.-Based...

    Intel, AWS Expand Strategic Collaboration, Helping Advance U.S.-Based...

    intel.com

  • View organization page for Intel Foundry, graphic

    36,644 followers

    Discover the future of semiconductor packaging with Intel! Our advanced packaging technologies deliver complex systems of chips for creating high-density, high-performance AI accelerators with significantly higher yields. Watch our latest video to see how we're pushing the boundaries of innovation and enabling next-gen solutions. Learn more: https://lnkd.in/gWazbh65 #Intel #IntelFoundry #AI

  • View organization page for Intel Foundry, graphic

    36,644 followers

    Data centers are the backbone of the digital world, and Intel Foundry is at the forefront of advancing process technologies to meet their growing demands. Our innovative solutions are designed to optimize performance, power efficiency, and scalability, ensuring that data centers can handle the next generation of workloads. Discover how we’re pushing the boundaries of what’s possible in data center technology. https://intel.ly/4gq2X8o #Intel #IntelFoundry #DataCenter

    Cutting-edge Process Technologies for Data Center

    Cutting-edge Process Technologies for Data Center

    intel.com

  • View organization page for Intel Foundry, graphic

    36,644 followers

    Dive into the world of semiconductor innovation with Kapil Wadhera, showcasing how Intel Foundry is creating a state-of-the-art onshore foundry and dynamic ecosystem dedicated to serving the needs of commercial and MAG (Military, Aerospace, and Government) sectors. Watch the full interview here: https://lnkd.in/gfrnWimW

    View profile for Kapil Wadhera, graphic

    Vice President, Intel Foundry; General Manager, Government Engagements and Business Operations Group

    I am excited to share the podcast I did with Siemens EDA at the Design Automation Conference (DAC). Juan from Siemens and I dived into the partnership with the U.S. Department of Defense via the RAMP-C (Rapid Assured Microelectronics Prototypes – Commercial) program. We also discussed how Intel's onshore leading-edge foundry and vibrant ecosystem are serving commercial and MAG (Military, Aerospace, and Government) customers. Highlights:  - From the CHIPS Act, RAMP, and RAMP-C to the SHIP microelectronics programs, Intel Foundry has a critical role in meeting US National Defense needs for semiconductor design and manufacturing.  - Intel Foundry is the only Systems Foundry uniquely positioned to bring state-of-the-art U.S.-based microelectronics design and manufacturing.  - We are closely coordinating efforts between our electronic design automation (EDA), IP and design service ecosystem partners to deliver the functional and operational security requirements within our USMAG Alliance, including Siemens EDA. Tune in to gain insights on Intel Foundry’s offerings. Don’t forget to like, comment, and share your thoughts! Let’s keep the conversation going.  #CHIPS #RAMP-C #SHIP #OUSD(R&E) #Areospace #Military #Government #DoD #IndustryInsights #IntelFoundry #Semiconductor #Manufacturing #SiemensEDA 

    Leading foundry & ecosystem for commercial and MAG customers onshore

    Leading foundry & ecosystem for commercial and MAG customers onshore

    resources.sw.siemens.com

Affiliated pages

Similar pages