Skip to content
View nakengelhardt's full-sized avatar

Organizations

@YosysHQ

Block or report nakengelhardt

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
  • Code for the examples used in my FDF 2024 talk "Assertion-Based Formal Debugging During RTL Development"

    SystemVerilog 1 Updated Jun 9, 2024
  • C 7 2 Other Updated Apr 25, 2024
  • util Public

    utilities for virtmem & fpgagraphlib

    Python Updated Apr 25, 2024
  • yosys Public

    Forked from YosysHQ/yosys

    Yosys Open SYnthesis Suite

    C ISC License Updated Mar 8, 2024
  • Plugins for Yosys developed as part of the F4PGA project.

    Verilog Apache License 2.0 Updated May 5, 2023
  • mcy Public

    Forked from YosysHQ/mcy

    Mutation Cover with Yosys (MCY)

    C ISC License Updated Oct 10, 2022
  • SymbiYosys Public

    Forked from YosysHQ/sby

    SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

    Python Other Updated Jul 25, 2022
  • Demo for using mcy for fault injection to verify a circuit with error-checking/correction capabilities

    Verilog 1 1 Updated Jun 24, 2022
  • btor2tools Public

    Forked from Boolector/btor2tools

    A generic parser and tool package for the BTOR2 format.

    C Other Updated Jan 6, 2021
  • Functional verification project for the CORE-V family of RISC-V cores.

    Assembly Other Updated Oct 9, 2020
  • boolector Public

    Forked from Boolector/boolector

    A Satisfiability Modulo Theories (SMT) solver for the theories of fixed-size bit-vectors, arrays and uninterpreted functions.

    SMT Other Updated Jun 5, 2020
  • Testing github features

    1 Updated Jun 2, 2020
  • ABC9 script tuning by genetic algorithm

    Verilog 1 Updated Feb 27, 2020
  • yosys-bench Public

    Forked from YosysHQ/yosys-bench

    Benchmarks for Yosys development

    Verilog ISC License Updated Feb 11, 2020
  • nmigen Public

    Forked from m-labs/nmigen

    A refreshed Python toolbox for building complex digital hardware

    Python Other Updated Jul 20, 2019
  • migen Public

    Forked from m-labs/migen

    A Python toolbox for building complex digital hardware

    Python Other Updated Oct 5, 2018
  • kc705_riffa Public

    Verilog 4 2 Updated Aug 19, 2015
  • dw-free Public

    Forked from dreamwidth/dreamwidth

    Dreamwidth's open source repository

    Perl Other Updated Aug 15, 2015
  • applications for use with riffa designs from kc705_riffa

    C 1 Updated Feb 4, 2015
  • mist Public

    Python 1 Updated Sep 17, 2013
  • dw-nonfree Public

    Forked from afuna/dw-nonfree

    The unlicensed portion of Dreamwidth's code.

    CSS Updated Sep 3, 2013
  • edif-writer Public

    Python 1 Other Updated Aug 2, 2013