Skip to content

icicle-emu/icicle

Repository files navigation

Icicle

Paper preview

Code and benchmarks for "Icicle: A Re-designed Emulator for Grey-Box Firmware Fuzzing".

This repository contains submodules corresponding to the exact versions of the various components used for the paper. However, it is highly recommended you use the latest version of the emulator available at: icicle-emu

Cite as:

@inproceedings{icicle2023,
  title     = {Icicle: A Re-Designed Emulator for Grey-Box Firmware Fuzzing},
  author    = {Chesser, Michael and Nepal, Surya and Ranasinghe, Damith C},
  booktitle = {{ACM} {SIGSOFT} International Symposium on Software Testing and Analysis},
  series    = {ISSTA},
  year      = {2023}
}

Quickstart

First, ensure that the required dependencies are installed including:

  • rustup
  • Docker
  • gcc
  • libssl-dev

Next, clone the repository:

git clone https://github.com/icicle-emu/icicle.git

Then perform a full build (this step includes initializing and updating all submodules):

./build_all.sh

For further details in using Icicle to fuzz new targets, or extending Icicle, see the icicle-emu submodule: ./icicle-emu.

Reproducing benchmarks

After performing the initial build, all benchmarks reported in the paper can be reproduced in one of two ways:

Crash analysis

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages