Skip to content
View amkichu's full-sized avatar

Block or report amkichu

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

A Python toolbox for building complex digital hardware

Python 1,215 209 Updated Sep 30, 2024

Build your hardware, easily!

C 2,939 561 Updated Oct 10, 2024

collection of algorithms for image processing for FPGAs

VHDL 5 2 Updated Jan 11, 2022

This project uses Python3 to obfuscate VHDL files. It is fast and easy to use. You must specify the source location of the VHDL files along with the path of the output files

VHDL 7 1 Updated Sep 4, 2024

A PYNQ overlay demonstrating AMD RFSoC Multi-Tile Synchronization (MTS).

Jupyter Notebook 19 6 Updated Jun 22, 2023

Open Logic HDL Standard Library

VHDL 262 22 Updated Oct 14, 2024

Example designs for FPGA Drive FMC

Tcl 213 99 Updated Oct 11, 2024

Ref design combining the Zynq UltraScale MPSoC with the Hailo AI accelerator

C 17 Updated Feb 15, 2024

Refrence_Books_PDFfiles

HTML 551 201 Updated May 29, 2016

Common elements for FPGA Design (FIFOs, RAMs, etc.)

VHDL 29 20 Updated Sep 16, 2024

I'm sick of complex blogging solutions, so markdown files in a git repo it is

TypeScript 603 23 Updated Jun 3, 2024

Experiments, Learning, Sharing done at SysPlay on BeagleBone Black

C 26 31 Updated Mar 1, 2020

Examples for using pyuvm

Python 14 3 Updated Jun 5, 2024

Open Source Flight Controller Firmware

C 8,501 3,010 Updated Oct 12, 2024

Sound analysis/synthesis tools for music applications

Python 1,638 754 Updated Sep 17, 2024

HDL libraries and projects

Verilog 1,509 1,510 Updated Oct 14, 2024

A collection of reusable, high-quality, peer-reviewed VHDL building blocks.

VHDL 96 14 Updated Oct 8, 2024

C code from the book "Linux Programming by Example"

C 119 65 Updated May 24, 2013

Minimalistic thread switcher for ARM Cortex-M cores available in C and C

C 18 3 Updated Feb 10, 2023

Some fpga and software logic to test out the new Avnet ZUBoard.

SystemVerilog 3 1 Updated Mar 22, 2024

Developed with the aim of providing engineers and designers with a centralized resource, this repository serves as a valuable reference for understanding and implementing robust clock domain crossi…

Verilog 45 3 Updated Apr 14, 2024

ESnet general-purpose FPGA design library.

SystemVerilog 11 3 Updated Oct 12, 2024

Jsmn is a world fastest JSON parser/tokenizer. This is the official repo replacing the old one at Bitbucket

C 3,661 781 Updated Jun 9, 2024

A light weight command line interface, designed for microcontrollers and bare-metal embedded systems.

C 8 3 Updated Nov 10, 2019

MiROS (Minimal Real-Time Operating System) for ARM Cortex-M

C 81 20 Updated May 18, 2024

Linux kernel source tree

C 180,356 53,556 Updated Oct 14, 2024

Cleo allows you to create beautiful and testable command-line interfaces.

Python 1,272 89 Updated Oct 7, 2024

A VHDL implementation of an AXI4 Master

VHDL 13 2 Updated Nov 7, 2017

"We all love Linux, but it's also a fact that some people might not be able to migrate." - Miguel de Icaza

201 117 Updated May 29, 2020
Next